Magnus Jahre
About
Magnus Jahre is a professor at the Norwegian University of Science and Technology (NTNU). He received his PhD degree from NTNU in 2010 and was an associate professor at NTNU from 2010 to 2021. He has a general interest in computer architecture, and his research currently focuses on performance analysis, ultra-low-power systems, spatial accelerators, Graphics Processing Units (GPUs), processing in memory, and heterogeneous systems. Jahre received a prestigious «Young Research Talents» grant from the Research Council of Norway in 2019, and he has served as member of the Program Committee or External Review Committee of the leading computer architecture conferences ISCA, MICRO and HPCA. He is a senior member of ACM and IEEE as well as member of the HiPEAC European Network of Excellence.
Research
The main goal of my research is to contribute to designing faster and more energy-efficient computers. More specifically, I investigate how computer hardware can specialize to the current application – to improve efficiency – while retaining sufficient generality to be efficient across diverse applications – to enable reuse. I am affiliated with the NTNU's Computer Architecture Lab (CAL)
Publications
2024
-
Ghasemisoumeeh, Fatemeh;
Liedtke, Lukas;
Jahre, Magnus.
(2024)
ECM: Improving IoT Throughput with Energy-Aware Connection Management.
Design, Automation and Test in Europe (DATE)
Academic article
-
Gottschall, Björn;
Eeckhout, Lieven;
Jahre, Magnus.
(2024)
Per-Instruction Cycle Stacks Through Time-Proportional Event Analysis.
IEEE Micro
Academic article
-
Rogers, Joseph Charles Pandl;
Soliman, Taha;
Jahre, Magnus.
(2024)
AIO: An Abstraction for Performance Analysis Across Diverse Accelerator Architectures.
IEEE Press
Academic chapter/article/Conference paper
-
Gottschall, Björn;
Eeckhout, Lieven;
Jahre, Magnus.
(2024)
Per-Instruction Cycle Stacks Through Time-Proportional Event Analysis.
IEEE Micro
Academic article
2023
-
Gottschall, Björn;
Eeckhout, Lieven;
Jahre, Magnus.
(2023)
TEA: Time-Proportional Event Analysis.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Zhang, Shiqing;
Naderan-Tahan, Mahmood;
Jahre, Magnus;
Eeckhout, Lieven.
(2023)
SAC: Sharing-Aware Caching in Multi-Chip GPUs.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Ghasemisoumeeh, Fatemeh;
Liedtke, Lukas;
Jahre, Magnus.
(2023)
PES: An Energy and Throughput Model for Energy Harvesting IoT Systems.
IEEE conference proceedings
Academic chapter/article/Conference paper
-
Koraei, Mostafa;
Cebrian, Juan Manuel;
Jahre, Magnus.
(2023)
Near-optimal multi-accelerator architectures for predictive maintenance at the edge.
Future Generation Computer Systems
Academic article
-
Gottschall, Björn;
Campelo de Santana, Silvio Heverton;
Jahre, Magnus.
(2023)
Balancing Accuracy and Evaluation Overhead in Simulation Point Selection.
IEEE Press
Academic chapter/article/Conference paper
-
Ghasemisoumeeh, Fatemeh;
Liedtke, Lukas;
Jahre, Magnus.
(2023)
ESS: Repeatable Evaluation of Energy Harvesting Subsystems for
Industry-Grade IoT Platforms.
IEEE Press
Academic chapter/article/Conference paper
-
Aurud, Lars Murud;
Jahre, Magnus.
(2023)
Improving Fetch and Issue Bandwidth in the Vortex GPU.
NTNU
Masters thesis
-
Nesset, Erling Feet;
Jahre, Magnus;
Gottschall, Björn.
(2023)
Improving the first-level cache bandwidth in the Berkeley Out-of-Order Machine.
NTNU
Masters thesis
-
Badr, Charbel;
Jahre, Magnus.
(2023)
Towards Time-Proportional Profiling of Low-Power System-on-Chips.
NTNU
Masters thesis
-
Zhang, Shiqing;
Naderan-Tahan, Mahmood;
Jahre, Magnus;
Eeckhout, Lieven.
(2023)
Balancing Performance Against Cost and Sustainability in Multi-Chip-Module GPUs.
IEEE computer architecture letters
Academic article
-
Zhang, Shiqing;
Naderan-Tahan, Mahmood;
Jahre, Magnus;
Eeckhout, Lieven.
(2023)
Characterizing Multi-Chip GPU Data Sharing.
ACM Transactions on Architecture and Code Optimization (TACO)
Academic article
-
Zhao, Xia;
Jahre, Magnus;
Tang, Yuhua;
Zhang, Guangda;
Eeckhout, Lieven.
(2023)
NUBA: Non-Uniform Bandwidth GPUs.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
2022
-
Zhao, Xia;
Eeckhout, Lieven;
Jahre, Magnus.
(2022)
Delegated Replies: Alleviating Network Clogging in Heterogeneous Architectures.
IEEE Symposium on High-Performance Computer Architecture (HPCA)
Academic article
-
Kjeldsberg, Per Gunnar;
Jahre, Magnus;
Gausaker, Philip.
(2022)
A Coarse-Grain Reconfigurable Accelerator for Rocket.
NTNU
Masters thesis
-
Rekdal, Markus;
Jahre, Magnus.
(2022)
Investigating the Performance Scalability of the Vortex GPU.
NTNU
Masters thesis
-
Salvesen, Peter;
Jahre, Magnus.
(2022)
LMT: Accurate and Resource-Scalable Slowdown Prediction.
IEEE computer architecture letters
Academic article
2021
-
Vázquez Maceiras, Mateo;
Jahre, Magnus.
(2021)
Accelerating LBM on a Tightly-Coupled Field Programmable Gate Array.
NTNU
Masters thesis
-
Gottschall, Björn;
Eeckhout, Lieven;
Jahre, Magnus.
(2021)
TIP: Time-Proportional Instruction Profiling.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Ghasemisoumeeh, Fatemeh;
Jahre, Magnus.
(2021)
Modeling Periodic Energy-Harvesting Computing Systems.
IEEE computer architecture letters
Academic article
-
Jahre, Magnus;
Göhringer, Diana;
Millet, Philippe.
(2021)
Towards Ubiquitous Low-power Image Processing Platforms.
Springer Nature
Springer Nature
Academic anthology/Conference proceedings
-
Djupdal, Asbjørn;
Gottschall, Björn;
Ghasemisoumeeh, Fatemeh;
Jahre, Magnus.
(2021)
Lynsyn and LynsynLite: The STHEM Power Measurement Units.
Springer Nature
Academic chapter/article/Conference paper
-
Yassin, Yahya Hussain;
Jahre, Magnus;
Kjeldsberg, Per Gunnar;
Aunet, Snorre;
Catthoor, Francky.
(2021)
Fast and Accurate Edge Computing Energy Modeling and DVFS Implementation in GEM5 Using System Call Emulation Mode.
Journal of Signal Processing Systems
Academic article
2020
-
Zhao, Xia;
Jahre, Magnus;
Eeckhout, Lieven.
(2020)
HSM: A Hybrid Slowdown Model for Multitasking GPUs.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Eggen, Lasse Agentoft;
Jahre, Magnus.
(2020)
Towards Efficiently Utilizing Coarse-Grained Reconfigurable Accelerators.
NTNU
Masters thesis
-
Zhao, Xia;
Jahre, Magnus;
Eeckhout, Lieven.
(2020)
Selective Replication in Memory-Side GPU Caches.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Wang, Lu;
Jahre, Magnus;
Adileh, Almutaz;
Eeckhout, Lieven.
(2020)
MDM: The GPU Memory Divergence Model.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Salvesen, Peter;
Jahre, Magnus.
(2020)
Predicting Interference-Free Performance with Linear Model Trees.
Norwegian University of Science and Technology
Masters thesis
-
Smithsen, Eirik;
Jahre, Magnus;
Rogers, Joseph Charles Pandl;
Gottschall, Björn.
(2020)
Fast Call Graph Profiling.
Norwegian University of Science and Technology
Masters thesis
2019
-
Koraei, Mostafa;
Fatemi, Omid;
Jahre, Magnus.
(2019)
DCMI: A Scalable Strategy for Accelerating Iterative Stencil Loops on FPGAs.
ACM Transactions on Architecture and Code Optimization (TACO)
Academic article
-
Wang, Lu;
Jahre, Magnus;
Adileh, Almutaz;
Wang, Zhiying;
Eeckhout, Lieven.
(2019)
Modeling Emerging Memory-Divergent GPU Applications.
IEEE computer architecture letters
Academic article
-
Cebrian, Juan Manuel;
Natvig, Lasse;
Jahre, Magnus.
(2019)
Scalability analysis of AVX-512 extensions.
The Journal of Supercomputing
Academic article
2018
-
Yuxi, Liu;
Zhao, Xia;
Jahre, Magnus;
Wang, Zhenlin;
Wang, Xiaolin;
Lou, Yingwei.
(2018)
Get Out of the Valley: Power-Efficient Address Mapping for GPUs.
International Symposium on Computer Architecture
Academic article
-
Jahre, Magnus;
Eeckhout, Lieven.
(2018)
GDP: Using Dataflow Properties to Accurately Estimate Interference-Free Performance at Runtime.
IEEE Symposium on High-Performance Computer Architecture (HPCA)
Academic article
2017
-
Umuroglu, Yaman;
Fraser, Nicholas J.;
Gambardella, Giulio;
Blott, Michaela;
Leong, Philip W.;
Jahre, Magnus.
(2017)
FINN: A Framework for Fast, Scalable Binarized Neural Network Inference.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Langdal, Peder Voldnes;
Muddukrishna, Ananya;
Jahre, Magnus.
(2017)
Extending OMPT to Support Grain Graph Visualization.
Norwegian University of Science and Technology (NTNU)
Masters thesis
-
aan de Wiel, Thomas Alexander;
Jahre, Magnus.
(2017)
Evaluating Shared Last Level Cache Partitioning Algorithms.
Norwegian University of Science and Technology (NTNU)
Masters thesis
2016
-
Reissmann, Nico;
Falch, Thomas Løfsgaard;
Bjørnseth, Benjamin Andreassen;
Bahmann, Helge;
Meyer, Jan Christian;
Jahre, Magnus.
(2016)
Efficient control flow restructuring for GPUs.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Umuroglu, Yaman;
Jahre, Magnus.
(2016)
Random access schemes for efficient FPGA SpMV acceleration.
Microprocessors and Microsystems: Embedded Hardware Design (MICPRO)
Academic article
2015
-
Olsen, Runar Bergheim;
Jahre, Magnus.
(2015)
Evaluation of Cache Management Algorithms for Shared Last Level Caches.
Norwegian University of Science and Technology (NTNU)
Masters thesis
-
Bahmann, Helge;
Reissmann, Nico;
Jahre, Magnus;
Meyer, Jan Christian.
(2015)
Perfect Reconstructability of Control Flow from Demand Dependence Graphs.
ACM Transactions on Architecture and Code Optimization (TACO)
Academic article
-
Umuroglu, Yaman;
Morrison, Donn;
Jahre, Magnus.
(2015)
Hybrid Breadth-First Search on a Single-Chip FPGA-CPU Heterogeneous Platform.
IEEE conference proceedings
Academic chapter/article/Conference paper
-
Umuroglu, Yaman;
Jahre, Magnus.
(2015)
A Vector Caching Scheme for Streaming FPGA SpMV Accelerators.
Springer
Academic chapter/article/Conference paper
-
Cebrian, Juan;
Jahre, Magnus;
Natvig, Lasse.
(2015)
ParVec: vectorizing the PARSEC benchmark suite.
Computing
Academic article
2014
-
Jahre, Magnus.
(2014)
Graph-based Performance Accounting for Chip Multiprocessor Memory Systems.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Nikitin, Nikita;
Jahre, Magnus.
(2014)
Patterned Heterogeneous CMPs: The Case for Regularity-Driven System-Level Synthesis.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Umuroglu, Yaman;
Jahre, Magnus.
(2014)
An Energy Efficient Column-Major Backend for FPGA SpMV Accelerators.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Cebrian, Juan;
Jahre, Magnus;
Natvig, Lasse.
(2014)
Optimized Hardware for Suboptimal Software: The Case for SIMD-aware Benchmarks.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Gottschall, Björn;
Jahre, Magnus.
(2014)
Time-Proportional Performance Analysis for Out-of-Order Processors.
Norges teknisk-naturvitenskapelige universitet
Norges teknisk-naturvitenskapelige universitet
Doctoral dissertation
2013
-
Grøvdal, Christian Vik;
Jahre, Magnus.
(2013)
A Comparative Analysis of Shared Cache Management Techniques for Chip Multiprocessors.
Norwegian University of Science and Technology (NTNU)
Masters thesis
-
Iordan, Alexandru Ciprian;
Jahre, Magnus;
Natvig, Lasse.
(2013)
On the Energy Footprint of Task Based Parallel Applications.
IEEE conference proceedings
Academic chapter/article/Conference paper
-
Iordan, Alexandru Ciprian;
Jahre, Magnus;
Natvig, Lasse.
(2013)
Challenges of Reducing Cycle-Accurate Simulation Time for TBP Applications.
Procedia Computer Science
Academic article
2012
-
Iordan, Alexandru Ciprian;
Jahre, Magnus;
Natvig, Lasse.
(2012)
Towards Efficient Simulation of Task Based Parallel Applications.
Akademika forlag
Academic chapter/article/Conference paper
2011
-
Grannæs, Marius;
Jahre, Magnus;
Natvig, Lasse.
(2011)
Storage Efficient Hardware Prefetching using Delta-Correlating Prediction Tables.
Journal of Instruction-Level Parallelism
Academic article
-
Grannæs, Marius;
Jahre, Magnus;
Natvig, Lasse.
(2011)
Exploring the Prefetcher/Memory Controller Design Space: An Opportunistic Prefetch Scheduling Strategy.
Lecture Notes in Computer Science (LNCS)
Academic article
2010
-
Jahre, Magnus;
Natvig, Lasse.
(2010)
Managing Shared Resources in Chip Multiprocessor Memory Systems.
Doktoravhandlinger ved NTNU (159)
Doctoral dissertation
-
Grannæs, Marius;
Jahre, Magnus;
Natvig, Lasse.
(2010)
Multi-level Hardware Prefetching Using Low Complexity Delta Correlating Prediction Tables with Partial Matching.
Springer
Academic chapter/article/Conference paper
-
Grannæs, Marius;
Jahre, Magnus;
Natvig, Lasse.
(2010)
Multi-level Hardware Prefetching Using Low Complexity Delta Correlating Prediction Tables with Partial Matching.
Lecture Notes in Computer Science (LNCS)
Academic article
-
Jahre, Magnus;
Grannæs, Marius;
Natvig, Lasse.
(2010)
DIEF: An Accurate Interference Feedback Mechanism for Chip Multiprocessor Memory Systems.
Lecture Notes in Computer Science (LNCS)
Academic article
2009
-
Jahre, Magnus;
Natvig, Lasse.
(2009)
A High Performance Adaptive Miss Handling Architecture for Chip Multiprocessors.
Lecture Notes in Computer Science (LNCS)
Academic article
-
Jahre, Magnus;
Natvig, Lasse.
(2009)
A Light-Weight Fairness Mechanism for Chip Multiprocessor Memory Systems.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Jahre, Magnus;
Grannæs, Marius;
Natvig, Lasse.
(2009)
A Quantitative Study of Memory System Interference in Chip Multiprocessor Architectures.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
Journal publications
-
Ghasemisoumeeh, Fatemeh;
Liedtke, Lukas;
Jahre, Magnus.
(2024)
ECM: Improving IoT Throughput with Energy-Aware Connection Management.
Design, Automation and Test in Europe (DATE)
Academic article
-
Gottschall, Björn;
Eeckhout, Lieven;
Jahre, Magnus.
(2024)
Per-Instruction Cycle Stacks Through Time-Proportional Event Analysis.
IEEE Micro
Academic article
-
Gottschall, Björn;
Eeckhout, Lieven;
Jahre, Magnus.
(2024)
Per-Instruction Cycle Stacks Through Time-Proportional Event Analysis.
IEEE Micro
Academic article
-
Koraei, Mostafa;
Cebrian, Juan Manuel;
Jahre, Magnus.
(2023)
Near-optimal multi-accelerator architectures for predictive maintenance at the edge.
Future Generation Computer Systems
Academic article
-
Zhang, Shiqing;
Naderan-Tahan, Mahmood;
Jahre, Magnus;
Eeckhout, Lieven.
(2023)
Balancing Performance Against Cost and Sustainability in Multi-Chip-Module GPUs.
IEEE computer architecture letters
Academic article
-
Zhang, Shiqing;
Naderan-Tahan, Mahmood;
Jahre, Magnus;
Eeckhout, Lieven.
(2023)
Characterizing Multi-Chip GPU Data Sharing.
ACM Transactions on Architecture and Code Optimization (TACO)
Academic article
-
Zhao, Xia;
Eeckhout, Lieven;
Jahre, Magnus.
(2022)
Delegated Replies: Alleviating Network Clogging in Heterogeneous Architectures.
IEEE Symposium on High-Performance Computer Architecture (HPCA)
Academic article
-
Salvesen, Peter;
Jahre, Magnus.
(2022)
LMT: Accurate and Resource-Scalable Slowdown Prediction.
IEEE computer architecture letters
Academic article
-
Ghasemisoumeeh, Fatemeh;
Jahre, Magnus.
(2021)
Modeling Periodic Energy-Harvesting Computing Systems.
IEEE computer architecture letters
Academic article
-
Yassin, Yahya Hussain;
Jahre, Magnus;
Kjeldsberg, Per Gunnar;
Aunet, Snorre;
Catthoor, Francky.
(2021)
Fast and Accurate Edge Computing Energy Modeling and DVFS Implementation in GEM5 Using System Call Emulation Mode.
Journal of Signal Processing Systems
Academic article
-
Koraei, Mostafa;
Fatemi, Omid;
Jahre, Magnus.
(2019)
DCMI: A Scalable Strategy for Accelerating Iterative Stencil Loops on FPGAs.
ACM Transactions on Architecture and Code Optimization (TACO)
Academic article
-
Wang, Lu;
Jahre, Magnus;
Adileh, Almutaz;
Wang, Zhiying;
Eeckhout, Lieven.
(2019)
Modeling Emerging Memory-Divergent GPU Applications.
IEEE computer architecture letters
Academic article
-
Cebrian, Juan Manuel;
Natvig, Lasse;
Jahre, Magnus.
(2019)
Scalability analysis of AVX-512 extensions.
The Journal of Supercomputing
Academic article
-
Yuxi, Liu;
Zhao, Xia;
Jahre, Magnus;
Wang, Zhenlin;
Wang, Xiaolin;
Lou, Yingwei.
(2018)
Get Out of the Valley: Power-Efficient Address Mapping for GPUs.
International Symposium on Computer Architecture
Academic article
-
Jahre, Magnus;
Eeckhout, Lieven.
(2018)
GDP: Using Dataflow Properties to Accurately Estimate Interference-Free Performance at Runtime.
IEEE Symposium on High-Performance Computer Architecture (HPCA)
Academic article
-
Umuroglu, Yaman;
Jahre, Magnus.
(2016)
Random access schemes for efficient FPGA SpMV acceleration.
Microprocessors and Microsystems: Embedded Hardware Design (MICPRO)
Academic article
-
Bahmann, Helge;
Reissmann, Nico;
Jahre, Magnus;
Meyer, Jan Christian.
(2015)
Perfect Reconstructability of Control Flow from Demand Dependence Graphs.
ACM Transactions on Architecture and Code Optimization (TACO)
Academic article
-
Cebrian, Juan;
Jahre, Magnus;
Natvig, Lasse.
(2015)
ParVec: vectorizing the PARSEC benchmark suite.
Computing
Academic article
-
Iordan, Alexandru Ciprian;
Jahre, Magnus;
Natvig, Lasse.
(2013)
Challenges of Reducing Cycle-Accurate Simulation Time for TBP Applications.
Procedia Computer Science
Academic article
-
Grannæs, Marius;
Jahre, Magnus;
Natvig, Lasse.
(2011)
Storage Efficient Hardware Prefetching using Delta-Correlating Prediction Tables.
Journal of Instruction-Level Parallelism
Academic article
-
Grannæs, Marius;
Jahre, Magnus;
Natvig, Lasse.
(2011)
Exploring the Prefetcher/Memory Controller Design Space: An Opportunistic Prefetch Scheduling Strategy.
Lecture Notes in Computer Science (LNCS)
Academic article
-
Grannæs, Marius;
Jahre, Magnus;
Natvig, Lasse.
(2010)
Multi-level Hardware Prefetching Using Low Complexity Delta Correlating Prediction Tables with Partial Matching.
Lecture Notes in Computer Science (LNCS)
Academic article
-
Jahre, Magnus;
Grannæs, Marius;
Natvig, Lasse.
(2010)
DIEF: An Accurate Interference Feedback Mechanism for Chip Multiprocessor Memory Systems.
Lecture Notes in Computer Science (LNCS)
Academic article
-
Jahre, Magnus;
Natvig, Lasse.
(2009)
A High Performance Adaptive Miss Handling Architecture for Chip Multiprocessors.
Lecture Notes in Computer Science (LNCS)
Academic article
Books
-
Jahre, Magnus;
Göhringer, Diana;
Millet, Philippe.
(2021)
Towards Ubiquitous Low-power Image Processing Platforms.
Springer Nature
Springer Nature
Academic anthology/Conference proceedings
Part of book/report
-
Rogers, Joseph Charles Pandl;
Soliman, Taha;
Jahre, Magnus.
(2024)
AIO: An Abstraction for Performance Analysis Across Diverse Accelerator Architectures.
IEEE Press
Academic chapter/article/Conference paper
-
Gottschall, Björn;
Eeckhout, Lieven;
Jahre, Magnus.
(2023)
TEA: Time-Proportional Event Analysis.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Zhang, Shiqing;
Naderan-Tahan, Mahmood;
Jahre, Magnus;
Eeckhout, Lieven.
(2023)
SAC: Sharing-Aware Caching in Multi-Chip GPUs.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Ghasemisoumeeh, Fatemeh;
Liedtke, Lukas;
Jahre, Magnus.
(2023)
PES: An Energy and Throughput Model for Energy Harvesting IoT Systems.
IEEE conference proceedings
Academic chapter/article/Conference paper
-
Gottschall, Björn;
Campelo de Santana, Silvio Heverton;
Jahre, Magnus.
(2023)
Balancing Accuracy and Evaluation Overhead in Simulation Point Selection.
IEEE Press
Academic chapter/article/Conference paper
-
Ghasemisoumeeh, Fatemeh;
Liedtke, Lukas;
Jahre, Magnus.
(2023)
ESS: Repeatable Evaluation of Energy Harvesting Subsystems for
Industry-Grade IoT Platforms.
IEEE Press
Academic chapter/article/Conference paper
-
Zhao, Xia;
Jahre, Magnus;
Tang, Yuhua;
Zhang, Guangda;
Eeckhout, Lieven.
(2023)
NUBA: Non-Uniform Bandwidth GPUs.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Gottschall, Björn;
Eeckhout, Lieven;
Jahre, Magnus.
(2021)
TIP: Time-Proportional Instruction Profiling.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Djupdal, Asbjørn;
Gottschall, Björn;
Ghasemisoumeeh, Fatemeh;
Jahre, Magnus.
(2021)
Lynsyn and LynsynLite: The STHEM Power Measurement Units.
Springer Nature
Academic chapter/article/Conference paper
-
Zhao, Xia;
Jahre, Magnus;
Eeckhout, Lieven.
(2020)
HSM: A Hybrid Slowdown Model for Multitasking GPUs.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Zhao, Xia;
Jahre, Magnus;
Eeckhout, Lieven.
(2020)
Selective Replication in Memory-Side GPU Caches.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Wang, Lu;
Jahre, Magnus;
Adileh, Almutaz;
Eeckhout, Lieven.
(2020)
MDM: The GPU Memory Divergence Model.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Umuroglu, Yaman;
Fraser, Nicholas J.;
Gambardella, Giulio;
Blott, Michaela;
Leong, Philip W.;
Jahre, Magnus.
(2017)
FINN: A Framework for Fast, Scalable Binarized Neural Network Inference.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Reissmann, Nico;
Falch, Thomas Løfsgaard;
Bjørnseth, Benjamin Andreassen;
Bahmann, Helge;
Meyer, Jan Christian;
Jahre, Magnus.
(2016)
Efficient control flow restructuring for GPUs.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Umuroglu, Yaman;
Morrison, Donn;
Jahre, Magnus.
(2015)
Hybrid Breadth-First Search on a Single-Chip FPGA-CPU Heterogeneous Platform.
IEEE conference proceedings
Academic chapter/article/Conference paper
-
Umuroglu, Yaman;
Jahre, Magnus.
(2015)
A Vector Caching Scheme for Streaming FPGA SpMV Accelerators.
Springer
Academic chapter/article/Conference paper
-
Jahre, Magnus.
(2014)
Graph-based Performance Accounting for Chip Multiprocessor Memory Systems.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Nikitin, Nikita;
Jahre, Magnus.
(2014)
Patterned Heterogeneous CMPs: The Case for Regularity-Driven System-Level Synthesis.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Umuroglu, Yaman;
Jahre, Magnus.
(2014)
An Energy Efficient Column-Major Backend for FPGA SpMV Accelerators.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Cebrian, Juan;
Jahre, Magnus;
Natvig, Lasse.
(2014)
Optimized Hardware for Suboptimal Software: The Case for SIMD-aware Benchmarks.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
-
Iordan, Alexandru Ciprian;
Jahre, Magnus;
Natvig, Lasse.
(2013)
On the Energy Footprint of Task Based Parallel Applications.
IEEE conference proceedings
Academic chapter/article/Conference paper
-
Iordan, Alexandru Ciprian;
Jahre, Magnus;
Natvig, Lasse.
(2012)
Towards Efficient Simulation of Task Based Parallel Applications.
Akademika forlag
Academic chapter/article/Conference paper
-
Grannæs, Marius;
Jahre, Magnus;
Natvig, Lasse.
(2010)
Multi-level Hardware Prefetching Using Low Complexity Delta Correlating Prediction Tables with Partial Matching.
Springer
Academic chapter/article/Conference paper
-
Jahre, Magnus;
Natvig, Lasse.
(2009)
A Light-Weight Fairness Mechanism for Chip Multiprocessor Memory Systems.
Association for Computing Machinery (ACM)
Academic chapter/article/Conference paper
-
Jahre, Magnus;
Grannæs, Marius;
Natvig, Lasse.
(2009)
A Quantitative Study of Memory System Interference in Chip Multiprocessor Architectures.
IEEE (Institute of Electrical and Electronics Engineers)
Academic chapter/article/Conference paper
Report
-
Aurud, Lars Murud;
Jahre, Magnus.
(2023)
Improving Fetch and Issue Bandwidth in the Vortex GPU.
NTNU
Masters thesis
-
Nesset, Erling Feet;
Jahre, Magnus;
Gottschall, Björn.
(2023)
Improving the first-level cache bandwidth in the Berkeley Out-of-Order Machine.
NTNU
Masters thesis
-
Badr, Charbel;
Jahre, Magnus.
(2023)
Towards Time-Proportional Profiling of Low-Power System-on-Chips.
NTNU
Masters thesis
-
Kjeldsberg, Per Gunnar;
Jahre, Magnus;
Gausaker, Philip.
(2022)
A Coarse-Grain Reconfigurable Accelerator for Rocket.
NTNU
Masters thesis
-
Rekdal, Markus;
Jahre, Magnus.
(2022)
Investigating the Performance Scalability of the Vortex GPU.
NTNU
Masters thesis
-
Vázquez Maceiras, Mateo;
Jahre, Magnus.
(2021)
Accelerating LBM on a Tightly-Coupled Field Programmable Gate Array.
NTNU
Masters thesis
-
Eggen, Lasse Agentoft;
Jahre, Magnus.
(2020)
Towards Efficiently Utilizing Coarse-Grained Reconfigurable Accelerators.
NTNU
Masters thesis
-
Salvesen, Peter;
Jahre, Magnus.
(2020)
Predicting Interference-Free Performance with Linear Model Trees.
Norwegian University of Science and Technology
Masters thesis
-
Smithsen, Eirik;
Jahre, Magnus;
Rogers, Joseph Charles Pandl;
Gottschall, Björn.
(2020)
Fast Call Graph Profiling.
Norwegian University of Science and Technology
Masters thesis
-
Langdal, Peder Voldnes;
Muddukrishna, Ananya;
Jahre, Magnus.
(2017)
Extending OMPT to Support Grain Graph Visualization.
Norwegian University of Science and Technology (NTNU)
Masters thesis
-
aan de Wiel, Thomas Alexander;
Jahre, Magnus.
(2017)
Evaluating Shared Last Level Cache Partitioning Algorithms.
Norwegian University of Science and Technology (NTNU)
Masters thesis
-
Olsen, Runar Bergheim;
Jahre, Magnus.
(2015)
Evaluation of Cache Management Algorithms for Shared Last Level Caches.
Norwegian University of Science and Technology (NTNU)
Masters thesis
-
Gottschall, Björn;
Jahre, Magnus.
(2014)
Time-Proportional Performance Analysis for Out-of-Order Processors.
Norges teknisk-naturvitenskapelige universitet
Norges teknisk-naturvitenskapelige universitet
Doctoral dissertation
-
Grøvdal, Christian Vik;
Jahre, Magnus.
(2013)
A Comparative Analysis of Shared Cache Management Techniques for Chip Multiprocessors.
Norwegian University of Science and Technology (NTNU)
Masters thesis
-
Jahre, Magnus;
Natvig, Lasse.
(2010)
Managing Shared Resources in Chip Multiprocessor Memory Systems.
Doktoravhandlinger ved NTNU (159)
Doctoral dissertation
Teaching
Courses
I also supervise project and master thesis topics within computer architecture and design. Current project and master thesis topics are available at IDIs web pages. I often co-supervise projects and masters with local and national industry partners such as ARM, Nordic Semiconductor and Silicon Labs (formerly Energy Micro).
The topics and reports of my supervised master theses can be found on NTNU Open.
Supervision
I currently supervise the following PhD students:
- Björn Gottschall (main supervisor)
- Lukas Liedtke (main supervisor)
- Joseph Rogers (main supervisor)
- Silvio Santana (main supervisor)
- Maren Wessel-Berg (main supervisor)
- Truls Asheim (co-supervisor)
- Roman Brunner (co-supervisor)
- Anders Gaustad (co-supervisor)
- Amund Bergsland Kvalsvik (co-supervisor)
- David Metz (co-supervisor)
My CV contains a list of the PhD students I have graduated and post-doctoral researchers I have mentored.
Knowledge Transfer
2023
-
Academic lectureDjupdal, Asbjørn; Själander, Hans Magnus; Jahre, Magnus; Aunet, Snorre. (2023) Minimizing the Energy Usage of Tiny RISC-V Cores. Computer Architecture Research with RISC-V 2023-06-17 -
2017
-
InterviewSævik, Bjørnar Torvholm; Folven, Erik; Jahre, Magnus; Skaar, Johannes. (2017) Ein æra er omm. nrk.no nrk.no [Internet] 2017-02-24